diff --git a/tests/test_debugger.py b/tests/test_debugger.py index 7d07977..a373efe 100644 --- a/tests/test_debugger.py +++ b/tests/test_debugger.py @@ -155,6 +155,7 @@ def do_ctlc( # make sure ctl-c sends don't do anything but repeat output for _ in range(count): + time.sleep(0.001) child.sendcontrol('c') child.expect(r"\(Pdb\+\+\)")